Welcome![Sign In][Sign Up]
Location:
Search - i2c vhdl

Search list

[VHDL-FPGA-Verilogfpga

Description: fpga数字电子系统设计与开发 ISE I2C UART usb vga -ISE I2C UART usb vga
Platform: | Size: 1559552 | Author: xiong | Hits:

[VHDL-FPGA-Verilogi2c-IPcore

Description: i2c的完整可用的Verilog代码,包含testbench.-i2c complete Verilog code is available, including the testbench.
Platform: | Size: 572416 | Author: 王宇 | Hits:

[VHDL-FPGA-VerilogCAN_I2C_USB_yuanma

Description: CAN总线,I2C,USB等的FPGA实现源码,可以利用原有代码,快速开发出自己的代码,物有所值-CAN bus, I2C, USB, etc. FPGA implementation source code, we can use the original code, and to quickly develop its own code, value for money
Platform: | Size: 1508352 | Author: hongliang | Hits:

[VHDL-FPGA-VerilogChapter11-13

Description: 第十一章到第十三章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例模块相应的Testbench,所举实例具有很强的实用性和代表性,每个实例均给出了介绍、功能分析、程序代码和结果演示。-Chapter XI to the 13th chapter of the code in this book through more than 100 module instance, explain in detail the Verilog HDL programming language, the book is divided into 13 chapters, covering basic concepts VerilogHDL languages, modeling, synchronous design, asynchronous design, functional verification, etc. Examples include a variety of adder/counter, multiplier/divider, encoders/decoders, state machines, SPIMaster Controller, I2C Master controller, CAN ProtocolController, Memory modules, JPEG image compression module, encryption module, ATA controller, 8-bit RISC-CPU, etc. and the various instances of the corresponding module Testbench, The examples are highly practical and representation, each instance of it all gives the introduction, functional analysis, program code and results presentation.
Platform: | Size: 5088256 | Author: xiao | Hits:

[VHDL-FPGA-Verilogtips_vhdl

Description: 包含图像采集、i2c设计及混合语言仿真、DDR控制器以及一些小程序,供学习使用-Includes image acquisition, i2c design and mixed-language simulation, DDR controller, and a number of small programs for learning to use
Platform: | Size: 7177216 | Author: 陈少华 | Hits:

[VHDL-FPGA-Verilogiic

Description: I2C接口标准建模源码,I2C interface standard modeling source-I2C interface standard modeling source
Platform: | Size: 317440 | Author: merryforever | Hits:

[Otherds32c35

Description: ds32c35是dalas生产的实时时钟(RTC)芯片,本程序(在EP2C8Q208C8N上调试通过)在FPGA上构建I2C接口于此时钟芯片通信。可以在LED上动态实时显示时间。利用本程序也可以改编成高精度实时时间测量的程序-ds32c35 is produced by dalas real-time clock (RTC) chip, this program (in the EP2C8Q208C8N debugging via) in the FPGA built this clock chip I2C interface to communicate. LED dynamically in real-time display of time. Using this procedure can also be adapted into a high-precision real time measurement procedures
Platform: | Size: 3898368 | Author: mn | Hits:

[Other Embeded programI2C

Description: ICC时序电路 读写程序图 VHDL语言编写了一个IIC控制程序-ICC
Platform: | Size: 23552 | Author: | Hits:

[Com Porti2cBUS

Description: I2C总线是一种非常常用的串行总线,它操作简便,占用接口少。本程序(verilog hdl)介绍操作一个I2C总线接口的EEPROM AT24C02 的方法,使用户了解I2C总线协议和读写方法。-The I2C bus is a very common serial bus, it is simple, occupy less interface. This program (verilog HDL) introduced operating a AT24C02 EEPROM of I2C bus interface Methods, users understand the I2C bus protocols and reading methods.
Platform: | Size: 549888 | Author: lipuran | Hits:

[VHDL-FPGA-VerilogI2C

Description: 使用VHDL写的标准 IIC代码 标准的接口文件,具有三态功能-The use of a standard IIC write VHDL code for a standard interface file, with tri-state function
Platform: | Size: 3072 | Author: 张爱民 | Hits:

[OtherI2C_SLAVE

Description: I2C slave端。可支持1带多。本人已经过调试,确认是可用的。-I2C slave side. Can support more than one band. I have been debugging, sure there is available.
Platform: | Size: 1024 | Author: 洛空奇 | Hits:

[Otheri2c_slave

Description: I2C 从设备通讯程序,用于I2C 硬件设计-I2C slave communication program, used to for I2C HW design
Platform: | Size: 3072 | Author: Watt Song | Hits:

[VHDL-FPGA-Verilogi2c

Description: 用VHDL写的I2C控制器,可以读写EEPROM,比较经典。-Written with VHDL I2C controller, you can read and write EEPROM, more classic.
Platform: | Size: 3072 | Author: wwww | Hits:

[Com PortI2C

Description: I2c串口程序,实现I2c串口控制器功能,需要和epprom模块、信号发生器联合使用,该程序有应带信号功能。-I2c serial program achieve I2c serial controller functionality, needs and epprom modules, signal generators used in combination, the program has to be with a signal function.
Platform: | Size: 2048 | Author: 朱朱 | Hits:

[VHDL-FPGA-Verilogi2c

Description: I2C的 VHDL 源代码, 已经经过逻辑验证,并实际用在芯片设计中,作为一个模块,正常工作.-I2C the VHDL source code has been verified through logic, and actually used in the chip design, as a module to work.
Platform: | Size: 19456 | Author: Jerd Hu | Hits:

[VHDL-FPGA-VerilogI2C-BusDesign

Description: 本程序给出了完整的I2C设计工程文件及VHDL源代码-This procedure gives the complete design of the project file and I2C VHDL source code
Platform: | Size: 77824 | Author: RoyHunter | Hits:

[VHDL-FPGA-Verilogi2c

Description: 这是一个关于I2C总线的源代码,内部含有VHDL和Verilog两种格式的代码。-This is a source code on the I2C bus, the internal VHDL and Verilog formats containing the code.
Platform: | Size: 1115136 | Author: | Hits:

[VHDL-FPGA-VerilogI2C

Description: 语言:verilog 功能:用Verilog HDL编写的I2C主机串行通信的程序。两条总线线路:一条串行数据线 SDA, 一条串行时钟线 SCL;串行的 8 位双向数据传输位速率在标准模式下可达 100kbit/s,快速模式下可达 400kbit/s ,高速模式下可达 3.4Mbit/s;在数据传输过程中,当时钟线为高电平时,数据线必须保持稳定。如果时钟线为高电平时数据线电平发生变化,会被认为是控制信号。 仿真工具:modelsim 综合工具:quartus -Language: verilog Function: I2C written in Verilog HDL with the host serial communication program. Two bus lines: a serial data line SDA, a serial clock line SCL 8-bit bi-directional serial data transmission bit rate in the standard mode of up to 100kbit/s, fast mode, up to 400kbit/s, high-speed mode of up to 3.4Mbit/s in the data transmission process, when the clock line is high, the data line must remain stable. If the clock line is high level when the data line changes will be considered is the control signal. Simulation tools: modelsim synthesis tool: quartus II
Platform: | Size: 8192 | Author: huangjiaju | Hits:

[VHDL-FPGA-Verilogcpld-I2C--vhdl

Description: 此源码为cpld 的 I2c 总线设计的VHDL语言-The source code for the cpld of the VHDL language I2c bus design
Platform: | Size: 97280 | Author: 刘丽超 | Hits:

[VHDL-FPGA-Verilogvideo_capture_rev_1_1

Description: ADV7183 board controller i2c vhdl
Platform: | Size: 251904 | Author: Marco | Hits:
« 1 2 3 4 5 6 78 9 10 11 12 ... 18 »

CodeBus www.codebus.net